Logic Level with 0.5V

Post discussions on applications you are writing
Post Reply
francisco.dutra
Newbie
Posts: 0
Joined: Fri Mar 10, 2023 1:06 pm

Logic Level with 0.5V

Post by francisco.dutra »

Hello,

I am using a picoscope 5244D MSO and I am developing an application in Python.
I am getting data with streaming mode and one of the things that I am using is to store the state of digital channel 0 with a logicLevel of 0.5V.
To experiment I generate a DC voltage of 0.6V like this:

Code: Select all

self._status["setSigGenBuiltInV2"] = ps.ps5000aSetSigGenBuiltInV2(
                self._chandle, 
                600000,                #offset microV
                0,                      #pkTopk microV
                ctypes.c_int32(8),      #wavetype = ctypes.c_int16(8) = PS5000A_DC_VOLTAGE
                0,                      #startFrequency Hz 
                0,                      #stopFrequency Hz
                0,                      #increment
                0,                      #dwellTime 
                ctypes.c_int32(1),      #sweepType = ctypes.c_int16(1) = PS5000A_UP
                0,                      #operation 
                0,                      #shots 
                0,                      #sweeps 
                ctypes.c_int32(0),      #triggertype = ctypes.c_int16(0) = PS5000A_SIGGEN_RISING<
                ctypes.c_int32(0),      #triggerSource =  ctypes.c_int16(0) = PS5000A_SIGGEN_NONE
                0)                      #extInThreshold 
The digital channel 0 has this set up:

Code: Select all

self._status["SetDigitalPort"] = ps.ps5000aSetDigitalPort(chandle, 
                                                                ps.PS5000A_CHANNEL["PS5000A_DIGITAL_PORT"+self._digital_channel], #source
                                                                1,                                                        #enable
                                                                int((32767/5)*0.5))                                #logicLevel
        self.pico_command(self._status["SetDigitalPort"])

So the data in the buffer should be 1 but I get a value of 255. I do not understand this because if I generate a DC voltage of 1.1V and the digital channel set up with a logicLevel int((32767/5)*1) I get 1.
The value 255 has the same meaning then 1?
Also the voltage range that I am using is 2V

Post Reply